User Tools

Site Tools


gtkwave_simulation_for_ice

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
gtkwave_simulation_for_ice [2019/09/11 12:23]
60.240.96.191
gtkwave_simulation_for_ice [2021/02/02 01:24] (current)
Line 138: Line 138:
  
     gtkwave sim/​blink_tb.lxt sim/​gtkwaveConfig.gtkw     gtkwave sim/​blink_tb.lxt sim/​gtkwaveConfig.gtkw
-    ​+
 ...the signals you set previously will be shown again. ...the signals you set previously will be shown again.
  
gtkwave_simulation_for_ice.txt ยท Last modified: 2021/02/02 01:24 (external edit)