User Tools

Site Tools


gtkwave_simulation_for_ice

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision Both sides next revision
gtkwave_simulation_for_ice [2019/09/11 11:26]
60.240.96.191
gtkwave_simulation_for_ice [2019/09/11 11:28]
60.240.96.191
Line 51: Line 51:
 </​code>​ </​code>​
  
-Nice and simple, blink the red LED on the iCE40-feather board after several thousand clock cycles. ​+Nice and simple, blink the green LED on the iCE40-feather board after several thousand clock cycles. ​
  
 Now a testbench file, create a ''​blink_tb.v''​ file in our project folder: Now a testbench file, create a ''​blink_tb.v''​ file in our project folder:
gtkwave_simulation_for_ice.txt ยท Last modified: 2021/02/02 01:24 (external edit)