User Tools

Site Tools


gtkwave_simulation_for_ice

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision Both sides next revision
gtkwave_simulation_for_ice [2019/09/11 11:28]
60.240.96.191
gtkwave_simulation_for_ice [2019/09/11 12:23]
60.240.96.191
Line 75: Line 75:
  
   // Dump wave   // Dump wave
- initial begin +  ​initial ​ 
- $dumpfile("​blink_tb.lxt"​);​ +    ​begin 
- $dumpvars(0,​blink_tb);​ +      $dumpfile("​blink_tb.lxt"​);​ 
- end+      $dumpvars(0,​blink_tb);​ 
 +    end
  
   initial   initial
gtkwave_simulation_for_ice.txt · Last modified: 2021/02/02 01:24 (external edit)