This shows you the differences between two versions of the page.
Both sides previous revision Previous revision Next revision | Previous revision | ||
gtkwave_simulation_for_ice [2019/09/11 11:28] 60.240.96.191 |
gtkwave_simulation_for_ice [2021/02/02 01:24] (current) |
||
---|---|---|---|
Line 75: | Line 75: | ||
// Dump wave | // Dump wave | ||
- | initial begin | + | initial |
- | $dumpfile("blink_tb.lxt"); | + | begin |
- | $dumpvars(0,blink_tb); | + | $dumpfile("blink_tb.lxt"); |
- | end | + | $dumpvars(0,blink_tb); |
+ | end | ||
initial | initial | ||
Line 137: | Line 138: | ||
gtkwave sim/blink_tb.lxt sim/gtkwaveConfig.gtkw | gtkwave sim/blink_tb.lxt sim/gtkwaveConfig.gtkw | ||
- | | + | |
...the signals you set previously will be shown again. | ...the signals you set previously will be shown again. | ||